summaryrefslogtreecommitdiff
path: root/plat/arm/board/fvp/fvp_bl2_setup.c
diff options
context:
space:
mode:
Diffstat (limited to 'plat/arm/board/fvp/fvp_bl2_setup.c')
-rw-r--r--plat/arm/board/fvp/fvp_bl2_setup.c1
1 files changed, 1 insertions, 0 deletions
diff --git a/plat/arm/board/fvp/fvp_bl2_setup.c b/plat/arm/board/fvp/fvp_bl2_setup.c
index 415de052..0a3b67d3 100644
--- a/plat/arm/board/fvp/fvp_bl2_setup.c
+++ b/plat/arm/board/fvp/fvp_bl2_setup.c
@@ -7,6 +7,7 @@
#include <generic_delay_timer.h>
#include <mmio.h>
#include <plat_arm.h>
+#include <platform.h>
#include <sp804_delay_timer.h>
#include <v2m_def.h>
#include "fvp_def.h"