summaryrefslogtreecommitdiff
path: root/arch/arm64/boot/dts/freescale/imx8mm.dtsi
diff options
context:
space:
mode:
Diffstat (limited to 'arch/arm64/boot/dts/freescale/imx8mm.dtsi')
-rwxr-xr-xarch/arm64/boot/dts/freescale/imx8mm.dtsi5
1 files changed, 3 insertions, 2 deletions
diff --git a/arch/arm64/boot/dts/freescale/imx8mm.dtsi b/arch/arm64/boot/dts/freescale/imx8mm.dtsi
index 81d6684f5a73..10d2ff270692 100755
--- a/arch/arm64/boot/dts/freescale/imx8mm.dtsi
+++ b/arch/arm64/boot/dts/freescale/imx8mm.dtsi
@@ -392,11 +392,12 @@
<&clk IMX8MM_SYS_PLL1_40M>, <&clk IMX8MM_SYS_PLL1_100M>,
<&clk IMX8MM_SYS_PLL2_333M>, <&clk IMX8MM_CLK_NOC>,
<&clk IMX8MM_CLK_AHB>, <&clk IMX8MM_CLK_MAIN_AXI>,
- <&clk IMX8MM_CLK_24M>, <&clk IMX8MM_SYS_PLL1_800M>;
+ <&clk IMX8MM_CLK_24M>, <&clk IMX8MM_SYS_PLL1_800M>,
+ <&clk IMX8MM_DRAM_PLL>;
clock-names = "dram_pll", "dram_alt_src", "dram_apb_src", "dram_apb_pre_div",
"dram_core", "dram_alt_root", "sys_pll1_40m", "sys_pll1_100m",
"sys_pll2_333m", "noc_div", "ahb_div", "main_axi_src", "osc_24m",
- "sys_pll1_800m";
+ "sys_pll1_800m", "dram_pll_div";
interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>, <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>,
<GIC_SPI 76 IRQ_TYPE_LEVEL_HIGH>, <GIC_SPI 77 IRQ_TYPE_LEVEL_HIGH>;
interrupt-name = "irq_busfreq_0", "irq_busfreq_1", "irq_busfreq_2", "irq_busfreq_3";