From 19ca7baa770102571ef0475e62dc1e925ccc419c Mon Sep 17 00:00:00 2001 From: Dong Aisheng Date: Fri, 19 Jul 2019 15:44:47 +0800 Subject: arm64: dts: imx8: lsio: fully switched to new clk binding fully switched to new clk binding Signed-off-by: Dong Aisheng --- arch/arm64/boot/dts/freescale/imx8-ss-lsio.dtsi | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'arch/arm64/boot/dts/freescale/imx8-ss-lsio.dtsi') diff --git a/arch/arm64/boot/dts/freescale/imx8-ss-lsio.dtsi b/arch/arm64/boot/dts/freescale/imx8-ss-lsio.dtsi index b3e144fbfdbe..f406f6adce31 100644 --- a/arch/arm64/boot/dts/freescale/imx8-ss-lsio.dtsi +++ b/arch/arm64/boot/dts/freescale/imx8-ss-lsio.dtsi @@ -114,8 +114,8 @@ lsio_subsys: bus@5d000000 { reg = <0x5d120000 0x10000>, <0x08000000 0x10000000>; reg-names = "fspi_base", "fspi_mmap"; interrupts = ; - clocks = <&clk IMX_LSIO_FSPI0_CLK>, - <&clk IMX_LSIO_FSPI0_CLK>; + clocks = <&clk IMX_SC_R_FSPI_0 IMX_SC_PM_CLK_PER>, + <&clk IMX_SC_R_FSPI_0 IMX_SC_PM_CLK_PER>; clock-names = "fspi", "fspi_en"; power-domains = <&pd IMX_SC_R_FSPI_0>; status = "disabled"; -- cgit v1.2.3